ASML reste très ambitieux

Le fabricant d’équipements pour les usines de semi-conducteurs reste attrayant.

Abhinav Davuluri 15.11.2022
Facebook Twitter LinkedIn

asml

Le 11 novembre, ASML (« Wide Moat ») a organisé une journée des investisseurs au cours de laquelle la direction a exposé ses perspectives pour le leader des équipements de photolithographie utilisés par les fabricants de semi-conducteurs.

L'entreprise s'est concentrée sur ses stratégies et ses investissements pour permettre des avancées dans ses équipements EUV avancés.

La direction s'attend désormais à un chiffre d'affaires de 30 à 40 milliards d'euros pour 2025, en hausse par rapport à ses perspectives de 24 à 30 milliards d'euros présentées lors de la journée des investisseurs de l'année dernière.

Cette prévision de chiffre d'affaires est cohérente avec notre modèle interne, et nous maintenons donc notre estimation de juste valeur de 700 euros par action.

Bien que les actions aient augmenté de plus de 40 % au cours du mois dernier, nous pensons toujours qu'elles semblent sous-évaluées aux niveaux actuels, car nous prévoyons que la demande pour les outils EUV d'ASML se révélera plus résistante à un ralentissement du marché des semi-conducteurs en 2023.

La direction a cité des moteurs bien établis tels que le cloud, l'intelligence artificielle, la 5G et les véhicules autonomes qui alimentent la croissance de la demande de semi-conducteurs.

L'entreprise prévoit une poursuite des avancées en termes de miniaturisation des composants électronique chez ses clients qui produisent des circuits logiques, des mémoires et chez les fondeurs, ce qui conduira à un taux de croissance annuel des ventes de 14 % entre 2020 et 2030.

Le point médian de l'estimation des revenus d'ASML pour 2025 (35 milliards d'euros) est en avance sur notre hypothèse ( 32,6 milliards d'euros), mais les bonnes perspectives nous confortent dans nos estimations, notamment pour les ventes de machines EUV.

À mi-parcours, ASML prévoit de livrer 80 systèmes EUV en 2025, conformément à nos hypothèses.

Cependant, nous sommes plus sceptiques quant aux perspectives de l'entreprise pour les outils de lithographie par immersion plus anciens utilisés dans les processus de fonderie et les process matures, car nous pensons qu'il existe un plus grand risque de surcapacité et donc une demande plus faible dans ces applications.

Avec Intel (« Wide Moat »), Samsung Electronics et TSMC (« Wide Moat ») qui se disputent tous le leadership des processus avancés, nous nous attendons à ce que l'ASML soit le principal bénéficiaire, grâce à sa domination EUV.

La société a réitéré sa feuille de route de produits, qui comprend l'EUV à haute ouverture numérique (« High Numerical Aperture ») de nouvelle génération qui sera lancée en 2025.

Ces systèmes « High-NA » offrent une résolution plus élevée pour permettre des fonctionnalités 1,7 fois plus petites et une densité 2,9 fois accrue par rapport aux offres EUV actuelles, qui devrait soutenir des augmentations substantielles du prix de vente moyen d'ASML au fil du temps.

ASML devrait avoir une capacité EUV « High NA » d'environ 20 systèmes par an d'ici 2027 ou 2028, ce qui est cohérent avec l'adoption du nouvel outil de lithographie à des processus inférieurs à 2 nanomètres.

La direction s'attend à ce que les revenus de la gestion de la base installée augmentent à un rythme annuel moyen de 10 % entre 2020 à 2025 (de 3,7 milliards d'euros en 2020 à un point médian de 7,5 milliards d'euros d'ici 2025).

Ce niveau de croissance est également cohérent avec notre modèle.

La gestion de la base installée comprend des mises à niveau de productivité et de performances ainsi que des logiciels pour aider les clients à tirer le meilleur parti de l'équipement, y compris une haute disponibilité et des temps d'arrêt minimaux, de faibles coûts de service par tranche de silicium traitée et une optimisation du débit.

Ceci est particulièrement important car la lithographie est généralement le facteur limitant dans les fabs (en raison du coût plus élevé par rapport aux autres types d'équipements).

Nous considérons ces revenus récurrents comme récurrents et durables, ce qui devrait aider ASML à atténuer toute volatilité sur le marché des équipements.

 

© Morningstar, 2022 - L'information contenue dans ce document est à vocation pédagogique et fournie à titre d'information UNIQUEMENT. Il n'a pas vocation et ne devrait pas être considéré comme une invitation ou un encouragement à acheter ou vendre les titres cités. Tout commentaire relève de l'opinion de son auteur et ne devrait pas être considéré comme une recommandation personnalisée. L'information de ce document ne devrait pas être l'unique source conduisant à prendre une décision d'investissement. Veillez à contacter un conseiller financier ou un professionnel de la finance avant de prendre toute décision d'investissement.

Facebook Twitter LinkedIn

Valeurs citées dans l'article

NomValeurVariation (%)Notation Morningstar
ASML Holding NV851,10 EUR2,01Rating
Canon Inc4 440,00 JPY0,02
Intel Corp34,28 USD-0,38Rating
Nikon Corp1 725,00 JPY10,33
Samsung Electronics Co Ltd75 500,00 KRW0,00Rating
Taiwan Semiconductor Manufacturing Co Ltd783,00 TWD1,69Rating

A propos de l'auteur

Abhinav Davuluri  est analyste actions chez Morningstar.