ASM International, acteur clé de l'industrie des semi-conducteurs

Malgé des qualités fondamentales, le titre ASM International est très chèrement valorisé selon Morningstar.

Javier Correonero 14.02.2024
Facebook Twitter LinkedIn

ASM

Crédit photo: ASM International

Basé aux Pays-Bas, ASM International fournit des équipements de fabrication de semi-conducteurs. Les équipements frontaux d'ASM, tels que les outils de dépôt de couches atomiques (ALD) et d'épitaxie, sont utilisés pour la préparation des plaquettes de silicium et la fabrication des niveaux d’interconnexion pour la fabrication de semi-conducteurs. L'entreprise est le premier fournisseur dans le segment des équipements ALD. La filiale d'ASM détenue à 25 %, ASM Pacific Technology, fabrique des outils utilisés pour assembler et conditionner les semi-conducteurs dans leur forme finale.

Principaux indicateurs Morningstar

Estimation de juste valeur : €470

Note Morningstar : ★★

Rempart concurrentiel (Moat) : Étroit

Note d’incertitude de la juste valeur: Très élevée

Un pari sur l'ALD qui s'avère aujourd'hui payant

ASM International est un fournisseur de niche d'équipements de fabrication de tranches de silicium.

La société s'est taillé une position concurrentielle solide sur le marché du dépôt de matériaux pour la fabrication de semi-conducteurs, plus concrètement dans le dépôt de couches atomiques (ALD), bien qu'elle ne dispose pas de la gamme de produits et de l'envergure d'autres fournisseurs d'équipements de fabrication de wafers tels qu'Applied Materialsou Lam Research.

ASM y est parvenue grâce à plus de vingt ans de recherche et de développement, qui portent aujourd'hui leurs fruits, car les transistors à semi-conducteurs ne cessent de rétrécir et nécessitent davantage de couches atomiques.

La direction d'ASM sait que le marché du dépôt est son point fort et a clairement indiqué que l'entreprise continuerait à réinvestir dans ce domaine, à la fois par la R&D et par des fusions et acquisitions, et qu'elle resterait à l'écart d'autres domaines où elle pourrait manquer d'avantages concurrentiels.

ASM a pris de l'ampleur depuis 2019, ce qui lui a permis d'accroître ses marges et d'augmenter ses investissements en R&D.

L’entreprise se trouve maintenant à un moment charnière, car elle commence à accroître sa base installée de machines ALD, qui deviendront le moteur de profit d'ASM grâce aux revenus des services au cours des 10 à 20 prochaines années, étant donné que les équipements de semi-conducteurs peuvent durer des dizaines d'années.

Bien que l'ALD ne représente aujourd'hui qu'une petite partie du marché de la déposition, elle devrait augmenter de manière significative dans les années à venir, étant donné que les transistors des semi-conducteurs ne cessent de rétrécir.

Les structures de transistors en 3D telles que FinFET, GAA (« Gate-All-Around ») ou CFET (« Complementary FET ») nécessiteront davantage d'ALD, ce qui créera une forte demande pour les machines d'ASM. La direction revendique une part de marché de plus de 50 % dans le domaine de l'ALD, car ses machines offrent le meilleur coût total de possession, l'un des principaux critères que les fonderies évaluent dans leurs décisions d'achat.

Comme ses homologues néerlandais ASML et Besi, ASM agit en tant qu'assembleur et intégrateur de nombreuses pièces et sous-systèmes plutôt qu'en tant que fabricant. Cette approche, associée à une stratégie de multisourcing, permet à l'entreprise de conserver une plus grande flexibilité et de mieux s'adapter au cycle des semi-conducteurs. Comme d'autres fabricants d'équipements de production de plaquettes, ASM développe et teste généralement ses produits en collaboration avec ses clients afin de les aider à en optimiser les performances. Une fois les tests terminés, les machines sont introduites dans la fabrication en grande série.

Juste Valeur Estimée

Notre estimation de la juste valeur d'ASM International est de 470 euros par action, ce qui représente un multiple de 32 et 28 fois la valeur de l'entreprise / EBIT pour 2024 et 2025, respectivement. Nous pensons que la croissance d'ASM sera supérieure à celle du marché global des équipements de fabrication de plaquettes au cours de la prochaine décennie, étant donné que la transition vers les transistors à grille intégrale et au-delà nécessitera davantage de machines ALD, qui constituent la principale ligne de produits d'ASM.

Nous modélisons un chiffre d'affaires de 4,7 milliards d'euros en 2027 avec une marge EBIT de 29 %, dans la partie supérieure de la fourchette des prévisions de la direction, à savoir un chiffre d'affaires de 4 à 5 milliards d'euros avec une marge EBIT de 26 à 31 %. Notre opinion découle du fait que les fonderies augmentent leurs investissements dans l'ALD alors que les transistors des semi-conducteurs continuent de diminuer. Nous pensons qu'ASM continuera à augmenter ses investissements en R&D en fonction de la croissance de son chiffre d'affaires afin de maintenir son leadership technologique et de garder une longueur d'avance sur ses concurrents. Nous prévoyons environ 500 millions d'euros en R&D en 2027, contre 300 millions d'euros en 2023. Nous voyons un certain effet de levier opérationnel dans les frais de vente, généraux et administratifs, qui seront le principal moteur de l'expansion des marges.

Nous modélisons les revenus des services qui augmentent plus rapidement que les revenus des équipements à long terme, car les machines d'ASM deviennent plus complexes, augmentant les taux de rétention et entraînant un potentiel plus élevé de services et de mises à niveau supplémentaires. Pour notre période de phase II, nous supposons que les bénéfices d'ASM augmenteront en ligne avec le marché global des semi-conducteurs, à environ 7%.

Rempart concurrentiel (Moat)

Nous attribuons à ASM International une note de rempart étroit soutenue par les actifs incorporels et les coûts de substitution.

La transition des transistors planaires aux transistors 3D/verticaux joue en faveur d'ASM, étant donné que les nouvelles structures de transistors requièrent une plus grande intensité d'ALD, ce qui devrait se traduire par une forte demande à l'avenir.

Les relations avec les fonderies permettent à ASM de connaître les besoins des fonderies plusieurs années à l'avance, ce qui accroît la réactivité et facilite l'innovation en matière de recherche et développement.

Nous pensons qu'ASM générera probablement des retours sur investissement excédentaires au cours de la prochaine décennie, ce qui conforte notre rempart concurrentiel étroit.

En 1999, ASM a clairement misé sur l'ALD pour son utilisation dans les applications de semi-conducteurs.

La même année, la société a acquis Microchemistry, une entreprise finlandaise spécialisée dans le marché de l'ALD, et a commencé à collaborer avec le centre de recherche sur les semi-conducteurs IMEC.

Depuis lors, ASM a investi des centaines de millions de dollars en recherche et développement dans les technologies de dépôt.

L'ALD est le type de dépôt le plus avancé et est considéré comme une évolution par rapport au dépôt chimique en phase vapeur (CVD), utilisé dans l'industrie des semi-conducteurs depuis des décennies.

Dans le procédé CVD, plusieurs précurseurs chimiques sont projetés simultanément dans une chambre contenant la plaquette de silicium, où ils réagissent à la surface de la plaquette en créant un film de dépôt. Ce procédé ne permet toutefois pas de contrôler le dépôt au niveau atomique comme le fait l'ALD.

Étant donné que les transistors semi-conducteurs ne cessent de rétrécir et passent de structures planaires (MOSFET) à des structures 3D (FinFET, GAAFET, attendu en 2024, et CFET, attendu après 2030), une précision atomique est nécessaire, car le dépôt en phase vapeur peut créer des films irréguliers.

L'ALD permet de créer des films de dépôt uniformes et lisses, même dans les plus petites structures de transistors. Les cellules de mémoire qui évoluent vers des structures en 3D nécessiteront également la technique ALD, ce qui profitera à ASM.

Une puce semi-conductrice moderne peut contenir des dizaines de couches empilées les unes sur les autres et devant communiquer entre elles.

Normalement, seules les couches les plus profondes de la puce nécessitent une lithographie EUV, et les couches plus superficielles peuvent être traitées par immersion ou lithographie sèche.

Il en va de même pour la déposition, un processus qui vient après la lithographie. Les couches les plus profondes nécessiteront une précision de dépôt au niveau atomique (ALD), compte tenu de la finesse des structures des transistors, tandis que les couches plus superficielles pourront utiliser des procédés CVD ou PVD plus élaborés.

L'ALD devrait devenir de plus en plus pertinent et dépasser le marché global du dépôt au fur et à mesure que les transistors à semi-conducteurs se verticalisent et deviennent de plus en plus complexes. Nous pensons qu'ASM restera un leader dans le domaine de la déposition grâce à son orientation claire et à ses investissements continus dans la R&D.

ASM compte TSMC, Samsung et Intel parmi ses clients, ce qui valide notre thèse selon laquelle il s'agit d'un fournisseur d'équipements de fabrication de plaquettes solvables. Une fois établi dans une fonderie, l'équipement devient profondément ancré dans les opérations du client en raison de la complexité de la fabrication des puces et des risques opérationnels liés au changement d'équipement.

Les ingénieurs d'ASM travaillent en étroite collaboration avec les ingénieurs des fonderies, car les feuilles de route des produits sont planifiées plusieurs années à l'avance, ce qui crée une dépendance mutuelle. Les ingénieurs de service d'ASM travaillent également sur site avec les ingénieurs de la fonderie pour faciliter l'étalonnage et améliorer le temps de fonctionnement et la productivité, ce qui accroît l'intérêt des solutions d'ASM.

Compte tenu de leur prix élevé (plusieurs millions d'euros par machine), les équipements de fabrication peuvent durer plusieurs décennies au sein d'une usine de fabrication, ce qui permet de générer des bénéfices à long terme grâce au service après-vente une fois que la machine a été mise en place.

Risque et incertitude

Nous attribuons à ASM International une note Morningstar d'incertitude élevée.

ASM est exposée à l'industrie cyclique des semi-conducteurs. Comme la demande de puces évolue, la demande d'équipements évolue également, et les sociétés comme ASM peuvent voir leur chiffre d'affaires fluctuer tout au long du cycle.

Les fabricants d'équipements doivent être prêts à augmenter ou à diminuer leur production lorsque les usines de fabrication de semi-conducteurs augmentent ou diminuent leur production. Le chiffre d'affaires des services, qui représente 15 à 20 % du chiffre d'affaires total d'ASM, résiste mieux au cycle.

Bien qu'ASM n'ait pas été significativement affectée par les tensions commerciales entre les États-Unis et la Chine dans le passé, nous pensons que cela pourrait changer à l'avenir, alors que l'industrie passe à des transistors Gate-all-around, ou GAAFET, en dessous du nœud de 3 nm. L'ALD est la technique de dépôt la plus avancée du marché, ce qui signifie que les États-Unis pourraient décider de la réprimer, comme ils l'ont fait avec les machines de lithographie par immersion et EUV d'ASML.

ASM est plus petite que ses pairs Applied Materials ou Lam Research, dont les budgets de R&D sont plusieurs fois supérieurs. Bien qu'ASM compense cela en restant concentrée sur ses créneaux les plus forts, ses concurrents continueront à investir et tenteront de rattraper ASM dans le domaine du dépôt de couches atomiques.

ASM est peu exposée aux questions environnementales, sociales et de gouvernance (ESG). Un risque courant pour les entreprises de semi-conducteurs est la pénurie d'ingénieurs expérimentés nécessaires au développement de nouveaux produits innovants, ce qui signifie que les entreprises doivent offrir des salaires plus élevés à leurs ingénieurs.

 

© Morningstar, 2024 - L'information contenue dans ce document est à vocation pédagogique et fournie à titre d'information UNIQUEMENT. Il n'a pas vocation et ne devrait pas être considéré comme une invitation ou un encouragement à acheter ou vendre les titres cités. Tout commentaire relève de l'opinion de son auteur et ne devrait pas être considéré comme une recommandation personnalisée. L'information de ce document ne devrait pas être l'unique source conduisant à prendre une décision d'investissement. Veillez à contacter un conseiller financier ou un professionnel de la finance avant de prendre toute décision d'investissement.

Facebook Twitter LinkedIn

Valeurs citées dans l'article

NomValeurVariation (%)Notation Morningstar
ASM International NV605,60 EUR0,90Rating

A propos de l'auteur

Javier Correonero  est analyste actions chez Morningstar.